MEMS ( micro-electromechanical systems ) is the technology of microscopic devices incorporating both electronic and moving parts. MEMS are made up of components between 1 and 100 micrometres in size (i.e., 0.001 to 0.1 mm), and MEMS devices generally range in size from 20 micrometres to a millimetre (i.e., 0.02 to 1.0 mm), although components arranged in arrays (e.g., digital micromirror devices ) can be more than 1000 mm. They usually consist of a central unit that processes data (an integrated circuit chip such as microprocessor ) and several components that interact with the surroundings (such as microsensors ).
115-403: Because of the large surface area to volume ratio of MEMS, forces produced by ambient electromagnetism (e.g., electrostatic charges and magnetic moments ), and fluid dynamics (e.g., surface tension and viscosity ) are more important design considerations than with larger scale mechanical devices. MEMS technology is distinguished from molecular nanotechnology or molecular electronics in that
230-769: A hydrogen -based solution. The hydrogen reduces the growth rate, but the temperature is raised to 850 or even 1050 °C to compensate. Polysilicon may be grown directly with doping, if gases such as phosphine , arsine or diborane are added to the CVD chamber. Diborane increases the growth rate, but arsine and phosphine decrease it. Silicon dioxide (usually called simply "oxide" in the semiconductor industry) may be deposited by several different processes. Common source gases include silane and oxygen , dichlorosilane (SiCl 2 H 2 ) and nitrous oxide (N 2 O), or tetraethylorthosilicate (TEOS; Si(OC 2 H 5 ) 4 ). The reactions are as follows: The choice of source gas depends on
345-444: A boron-doped glass wafer is bonded to a semiconductor wafer, usually silicon; thermocompression bonding, wherein an intermediary thin-film material layer is used to facilitate wafer bonding; and eutectic bonding, wherein a thin-film layer of gold is used to bond two silicon wafers. Each of these methods have specific uses depending on the circumstances. Most wafer bonding processes rely on three basic criteria for successfully bonding:
460-587: A challenging goal, and the ribbons typically possess rough edges that are detrimental to their performance. CVD can be used to produce a synthetic diamond by creating the circumstances necessary for carbon atoms in a gas to settle on a substrate in crystalline form. CVD of diamonds has received much attention in the materials sciences because it allows many new applications that had previously been considered too expensive. CVD diamond growth typically occurs under low pressure (1–27 kPa ; 0.145–3.926 psi ; 7.5–203 Torr ) and involves feeding varying amounts of gases into
575-407: A chamber, energizing them and providing conditions for diamond growth on the substrate. The gases always include a carbon source, and typically include hydrogen as well, though the amounts used vary greatly depending on the type of diamond being grown. Energy sources include hot filament , microwave power, and arc discharges , among others. The energy source is intended to generate a plasma in which
690-404: A cooling liquid or a dry laser process called stealth dicing . Bulk micromachining is the oldest paradigm of silicon-based MEMS. The whole thickness of a silicon wafer is used for building the micro-mechanical structures. Silicon is machined using various etching processes . Bulk micromachining has been essential in enabling high performance pressure sensors and accelerometers that changed
805-415: A diamond, the result was typically very small free-standing diamonds of varying sizes. With CVD diamond, growth areas of greater than fifteen centimeters (six inches) in diameter have been achieved, and much larger areas are likely to be successfully coated with diamond in the future. Improving this process is key to enabling several important applications. The growth of diamond directly on a substrate allows
920-548: A few. The CVD of metal-organic frameworks , a class of crystalline nanoporous materials, has recently been demonstrated. Recently scaled up as an integrated cleanroom process depositing large-area substrates, the applications for these films are anticipated in gas sensing and low-κ dielectrics . CVD techniques are advantageous for membrane coatings as well, such as those in desalination or water treatment, as these coatings can be sufficiently uniform (conformal) and thin that they do not clog membrane pores. Polycrystalline silicon
1035-414: A force law for the interaction between elements of electric current, Ampère placed the subject on a solid mathematical foundation. A theory of electromagnetism, known as classical electromagnetism , was developed by several physicists during the period between 1820 and 1873, when James Clerk Maxwell 's treatise was published, which unified previous developments into a single theory, proposing that light
1150-458: A hole with curved sidewalls as with isotropic etching. Hydrofluoric acid is commonly used as an aqueous etchant for silicon dioxide ( SiO 2 , also known as BOX for SOI), usually in 49% concentrated form, 5:1, 10:1 or 20:1 BOE ( buffered oxide etchant ) or BHF (Buffered HF). They were first used in medieval times for glass etching. It was used in IC fabrication for patterning the gate oxide until
1265-436: A link between human-made electric current and magnetism was Gian Romagnosi , who in 1802 noticed that connecting a wire across a voltaic pile deflected a nearby compass needle. However, the effect did not become widely known until 1820, when Ørsted performed a similar experiment. Ørsted's work influenced Ampère to conduct further experiments, which eventually gave rise to a new area of physics: electrodynamics. By determining
SECTION 10
#17327938869671380-474: A magnetic field transforms to a field with a nonzero electric component and conversely, a moving electric field transforms to a nonzero magnetic component, thus firmly showing that the phenomena are two sides of the same coin. Hence the term "electromagnetism". (For more information, see Classical electromagnetism and special relativity and Covariant formulation of classical electromagnetism .) Today few problems in electromagnetism remain unsolved. These include:
1495-446: A magnetic needle using a Voltaic pile. The factual setup of the experiment is not completely clear, nor if current flowed across the needle or not. An account of the discovery was published in 1802 in an Italian newspaper, but it was largely overlooked by the contemporary scientific community, because Romagnosi seemingly did not belong to this community. An earlier (1735), and often neglected, connection between electricity and magnetism
1610-448: A mask for the underlying substrate. Photolithography is typically used with metal or other thin film deposition, wet and dry etching. Sometimes, photolithography is used to create structure without any kind of post etching. One example is SU8 based lens where SU8 based square blocks are generated. Then the photoresist is melted to form a semi-sphere which acts as a lens. Electron beam lithography (often abbreviated as e-beam lithography)
1725-452: A nearby compass needle to move. At the time of discovery, Ørsted did not suggest any satisfactory explanation of the phenomenon, nor did he try to represent the phenomenon in a mathematical framework. However, three months later he began more intensive investigations. Soon thereafter he published his findings, proving that an electric current produces a magnetic field as it flows through a wire. The CGS unit of magnetic induction ( oersted )
1840-552: A number of MOSFET microsensors were developed for measuring physical, chemical, biological and environmental parameters. The term "MEMS" was introduced in 1986. S.C. Jacobsen (PI) and J.E. Wood (Co-PI) introduced the term "MEMS" by way of a proposal to DARPA (15 July 1986), titled "Micro Electro-Mechanical Systems (MEMS)", granted to the University of Utah. The term "MEMS" was presented by way of an invited talk by S.C. Jacobsen, titled "Micro Electro-Mechanical Systems (MEMS)", at
1955-574: A phosphorus concentration of at least 6%, but concentrations above 8% can corrode aluminium. Phosphorus is deposited from phosphine gas and oxygen: Glasses containing both boron and phosphorus (borophosphosilicate glass, BPSG) undergo viscous flow at lower temperatures; around 850 °C is achievable with glasses containing around 5 weight % of both constituents, but stability in air can be difficult to achieve. Phosphorus oxide in high concentrations interacts with ambient moisture to produce phosphoric acid. Crystals of BPO 4 can also precipitate from
2070-435: A process in which a material is removed from a target, and deposited on a surface. Techniques to do this include the process of sputtering , in which an ion beam liberates atoms from a target, allowing them to move through the intervening space and deposit on the desired substrate, and evaporation , in which a material is evaporated from a target using either heat (thermal evaporation) or an electron beam (e-beam evaporation) in
2185-714: A research report from SEMI and Yole Development and is forecasted to reach $ 72 billion by 2011. Companies with strong MEMS programs come in many sizes. Larger firms specialize in manufacturing high volume inexpensive components or packaged solutions for end markets such as automobiles, biomedical, and electronics. Smaller firms provide value in innovative solutions and absorb the expense of custom fabrication with high sales margins. Both large and small companies typically invest in R&D to explore new MEMS technology. The market for materials and equipment used to manufacture MEMS devices topped $ 1 billion worldwide in 2006. Materials demand
2300-492: A role in chemical reactivity; such relationships are studied in spin chemistry . Electromagnetism also plays several crucial roles in modern technology : electrical energy production, transformation and distribution; light, heat, and sound production and detection; fiber optic and wireless communication; sensors; computation; electrolysis; electroplating; and mechanical motors and actuators. Electromagnetism has been studied since ancient times. Many ancient civilizations, including
2415-524: A semiconductor device, is achieved from tungsten hexafluoride (WF 6 ), which may be deposited in two ways: Other metals, notably aluminium and copper , can be deposited by CVD. As of 2010 , commercially cost-effective CVD for copper did not exist, although volatile sources exist, such as Cu( hfac ) 2 . Copper is typically deposited by electroplating . Aluminium can be deposited from triisobutylaluminium (TIBAL) and related organoaluminium compounds . CVD for molybdenum , tantalum , titanium , nickel
SECTION 20
#17327938869672530-413: A sewing-needle by means of the discharge of Leyden jars." The electromagnetic force is the second strongest of the four known fundamental forces and has unlimited range. All other forces, known as non-fundamental forces . (e.g., friction , contact forces) are derived from the four fundamental forces. At high energy, the weak force and electromagnetic force are unified as a single interaction called
2645-422: A silicon substrate, and etch rates are 3–6 times higher than wet etching. After preparing a large number of MEMS devices on a silicon wafer , individual dies have to be separated, which is called die preparation in semiconductor technology. For some applications, the separation is preceded by wafer backgrinding in order to reduce the wafer thickness. Wafer dicing may then be performed either by sawing using
2760-508: A unified concept of energy. This unification, which was observed by Michael Faraday , extended by James Clerk Maxwell , and partially reformulated by Oliver Heaviside and Heinrich Hertz , is one of the key accomplishments of 19th-century mathematical physics . It has had far-reaching consequences, one of which was the understanding of the nature of light . Unlike what was proposed by the electromagnetic theory of that time, light and other electromagnetic waves are at present seen as taking
2875-414: A vacuum system. Chemical deposition techniques include chemical vapor deposition (CVD), in which a stream of source gas reacts on the substrate to grow the material desired. This can be further divided into categories depending on the details of the technique, for example LPCVD (low-pressure chemical vapor deposition) and PECVD ( plasma-enhanced chemical vapor deposition ). Oxide films can also be grown by
2990-624: Is "plasmaless", is purely chemical and spontaneous and is often operated in pulsed mode. Models of the etching action are available, and university laboratories and various commercial tools offer solutions using this approach. Modern VLSI processes avoid wet etching, and use plasma etching instead. Plasma etchers can operate in several modes by adjusting the parameters of the plasma. Ordinary plasma etching operates between 0.1 and 5 Torr. (This unit of pressure, commonly used in vacuum engineering, equals approximately 133.3 pascals.) The plasma produces energetic free radicals, neutrally charged, that react at
3105-467: Is a dry vapor phase isotropic etch for silicon originally applied for MEMS in 1995 at University of California, Los Angeles. Primarily used for releasing metal and dielectric structures by undercutting silicon, XeF 2 has the advantage of a stiction -free release unlike wet etchants. Its etch selectivity to silicon is very high, allowing it to work with photoresist, SiO 2 , silicon nitride, and various metals for masking. Its reaction to silicon
3220-419: Is a material that experiences a change in its physical properties when exposed to a radiation source. If a photosensitive material is selectively exposed to radiation (e.g. by masking some of the radiation) the pattern of the radiation on the material is transferred to the material exposed, as the properties of the exposed and unexposed regions differs. This exposed region can then be removed or treated providing
3335-402: Is a process used in the electronic industry to selectively remove parts of a thin film. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply "resist", on the substrate. A series of chemical treatments then engraves the produced pattern into the material underneath the photoresist. Diamond patterning is a method of forming diamond MEMS. It
3450-459: Is a special subclass of RIE that is growing in popularity. In this process, etch depths of hundreds of micrometers are achieved with almost vertical sidewalls. The primary technology is based on the so-called "Bosch process", named after the German company Robert Bosch, which filed the original patent, where two different gas compositions alternate in the reactor. Currently, there are two variations of
3565-420: Is achieved by the lithographic application of diamond films to a substrate such as silicon. The patterns can be formed by selective deposition through a silicon dioxide mask, or by deposition followed by micromachining or focused ion beam milling . There are two basic categories of etching processes: wet etching and dry etching . In the former, the material is dissolved when immersed in a chemical solution. In
MEMS - Misplaced Pages Continue
3680-572: Is an interaction that occurs between particles with electric charge via electromagnetic fields . The electromagnetic force is one of the four fundamental forces of nature. It is the dominant force in the interactions of atoms and molecules . Electromagnetism can be thought of as a combination of electrostatics and magnetism , which are distinct but closely intertwined phenomena. Electromagnetic forces occur between any two charged particles. Electric forces cause an attraction between particles with opposite charges and repulsion between particles with
3795-474: Is as follows: the decomposition of metal carbonyls is often violently precipitated by moisture or air, where oxygen reacts with the metal precursor to form metal or metal oxide along with carbon dioxide. Niobium(V) oxide layers can be produced by the thermal decomposition of niobium(V) ethoxide with the loss of diethyl ether according to the equation: Many variations of CVD can be utilized to synthesize graphene. Although many advancements have been made,
3910-423: Is capable of generating holes in thin films without any development process. Structural depth can be defined either by ion range or by material thickness. Aspect ratios up to several 10 can be reached. The technique can shape and texture materials at a defined inclination angle. Random pattern, single-ion track structures and an aimed pattern consisting of individual single tracks can be generated. X-ray lithography
4025-411: Is compatible with special relativity. According to Maxwell's equations, the speed of light in vacuum is a universal constant that is dependent only on the electrical permittivity and magnetic permeability of free space . This violates Galilean invariance , a long-standing cornerstone of classical mechanics. One way to reconcile the two theories (electromagnetism and classical mechanics) is to assume
4140-454: Is deposited from trichlorosilane (SiHCl 3 ) or silane (SiH 4 ), using the following reactions: This reaction is usually performed in LPCVD systems, with either pure silane feedstock, or a solution of silane with 70–80% nitrogen . Temperatures between 600 and 650 °C and pressures between 25 and 150 Pa yield a growth rate between 10 and 20 nm per minute. An alternative process uses
4255-458: Is developed using a moving plate or sensing element, which changes the capacitance. Ohmic switches are controlled by electrostatically controlled cantilevers. Ohmic MEMS switches can fail from metal fatigue of the MEMS actuator (cantilever) and contact wear, since cantilevers can deform over time. The fabrication of MEMS evolved from the process technology in semiconductor device fabrication , i.e.
4370-409: Is driven by substrates, making up over 70 percent of the market, packaging coatings and increasing use of chemical mechanical planarization (CMP). While MEMS manufacturing continues to be dominated by used semiconductor equipment, there is a migration to 200mm lines and select new tools, including etch and bonding for certain MEMS applications. Electromagnetism In physics, electromagnetism
4485-578: Is extremely useful in the process of atomic layer deposition at depositing extremely thin layers of material. A variety of applications for such films exist. Gallium arsenide is used in some integrated circuits (ICs) and photovoltaic devices. Amorphous polysilicon is used in photovoltaic devices. Certain carbides and nitrides confer wear-resistance. Polymerization by CVD, perhaps the most versatile of all applications, allows for super-thin coatings which possess some very desirable qualities, such as lubricity, hydrophobicity and weather-resistance to name
4600-473: Is highly anisotropic. On the other hand, it tends to display poor selectivity. Reactive-ion etching (RIE) operates under conditions intermediate between sputter and plasma etching (between 10 and 10 Torr). Deep reactive-ion etching (DRIE) modifies the RIE technique to produce deep, narrow features. In reactive-ion etching (RIE), the substrate is placed inside a reactor, and several gases are introduced. A plasma
4715-400: Is named in honor of his contributions to the field of electromagnetism. His findings resulted in intensive research throughout the scientific community in electrodynamics. They influenced French physicist André-Marie Ampère 's developments of a single mathematical form to represent the magnetic forces between current-carrying conductors. Ørsted's discovery also represented a major step toward
MEMS - Misplaced Pages Continue
4830-508: Is no one-to-one correspondence between electromagnetic units in SI and those in CGS, as is the case for mechanical units. Furthermore, within CGS, there are several plausible choices of electromagnetic units, leading to different unit "sub-systems", including Gaussian , "ESU", "EMU", and Heaviside–Lorentz . Among these choices, Gaussian units are the most common today, and in fact the phrase "CGS units"
4945-462: Is often used to refer specifically to CGS-Gaussian units . The study of electromagnetism informs electric circuits , magnetic circuits , and semiconductor devices ' construction. Chemical vapor deposition Chemical vapor deposition ( CVD ) is a vacuum deposition method used to produce high-quality, and high-performance, solid materials. The process is often used in the semiconductor industry to produce thin films . In typical CVD,
5060-533: Is resistant to the wet etchants. This has been used in MEWS pressure sensor manufacturing for example. Etching progresses at the same speed in all directions. Long and narrow holes in a mask will produce v-shaped grooves in the silicon. The surface of these grooves can be atomically smooth if the etch is carried out correctly, with dimensions and angles being extremely accurate. Some single crystal materials, such as silicon, will have different etching rates depending on
5175-428: Is struck in the gas mixture using an RF power source, which breaks the gas molecules into ions. The ions accelerate towards, and react with, the surface of the material being etched, forming another gaseous material. This is known as the chemical part of reactive ion etching. There is also a physical part, which is similar to the sputtering deposition process. If the ions have high enough energy, they can knock atoms out of
5290-454: Is studied, for example, in the subject of magnetohydrodynamics , which combines Maxwell theory with the Navier–Stokes equations . Another branch of electromagnetism dealing with nonlinearity is nonlinear optics . Here is a list of common units related to electromagnetism: In the electromagnetic CGS system, electric current is a fundamental quantity defined via Ampère's law and takes
5405-427: Is the practice of scanning a beam of electrons in a patterned fashion across a surface covered with a film (called the resist ), ("exposing" the resist) and of selectively removing either exposed or non-exposed regions of the resist ("developing"). The purpose, as with photolithography , is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by etching. It
5520-405: Is throughput, i.e., the very long time it takes to expose an entire silicon wafer or glass substrate. A long exposure time leaves the user vulnerable to beam drift or instability which may occur during the exposure. Also, the turn-around time for reworking or re-design is lengthened unnecessarily if the pattern is not being changed the second time. It is known that focused- ion beam lithography has
5635-415: Is used to oxidize ("ash") photoresist and facilitate its removal. Ion milling, or sputter etching , uses lower pressures, often as low as 10 Torr (10 mPa). It bombards the wafer with energetic ions of noble gases, often Ar+, which knock atoms from the substrate by transferring momentum. Because the etching is performed by ions, which approach the wafer approximately from one direction, this process
5750-421: Is used to protect the MEMS structures. Integrated circuits are typically not combined with HAR silicon micromachining. Some common commercial applications of MEMS include: The global market for micro-electromechanical systems, which includes products such as automobile airbag systems, display systems and inkjet cartridges totaled $ 40 billion in 2006 according to Global MEMS/Microsystems Markets and Opportunities,
5865-463: Is widely used. These metals can form useful silicides when deposited onto silicon. Mo, Ta and Ti are deposited by LPCVD, from their pentachlorides. Nickel, molybdenum, and tungsten can be deposited at low temperatures from their carbonyl precursors. In general, for an arbitrary metal M , the chloride deposition reaction is as follows: whereas the carbonyl decomposition reaction can happen spontaneously under thermal treatment or acoustic cavitation and
SECTION 50
#17327938869675980-595: The Greeks and the Mayans , created wide-ranging theories to explain lightning , static electricity , and the attraction between magnetized pieces of iron ore . However, it was not until the late 18th century that scientists began to develop a mathematical basis for understanding the nature of electromagnetic interactions. In the 18th and 19th centuries, prominent scientists and mathematicians such as Coulomb , Gauss and Faraday developed namesake laws which helped to explain
6095-424: The chemical and physical phenomena observed in daily life. The electrostatic attraction between atomic nuclei and their electrons holds atoms together. Electric forces also allow different atoms to combine into molecules, including the macromolecules such as proteins that form the basis of life . Meanwhile, magnetic interactions between the spin and angular momentum magnetic moments of electrons also play
6210-564: The electroweak interaction . Most of the forces involved in interactions between atoms are explained by electromagnetic forces between electrically charged atomic nuclei and electrons . The electromagnetic force is also involved in all forms of chemical phenomena . Electromagnetism explains how materials carry momentum despite being composed of individual particles and empty space. The forces we experience when "pushing" or "pulling" ordinary material objects result from intermolecular forces between individual molecules in our bodies and in
6325-408: The permeability as a dimensionless quantity (relative permeability) whose value in vacuum is unity . As a consequence, the square of the speed of light appears explicitly in some of the equations interrelating quantities in this system. Formulas for physical laws of electromagnetism (such as Maxwell's equations ) need to be adjusted depending on what system of units one uses. This is because there
6440-599: The quantized nature of matter. In QED, changes in the electromagnetic field are expressed in terms of discrete excitations, particles known as photons , the quanta of light. Investigation into electromagnetic phenomena began about 5,000 years ago. There is evidence that the ancient Chinese , Mayan , and potentially even Egyptian civilizations knew that the naturally magnetic mineral magnetite had attractive properties, and many incorporated it into their art and architecture. Ancient people were also aware of lightning and static electricity , although they had no idea of
6555-731: The wafer (substrate) is exposed to one or more volatile precursors , which react and/or decompose on the substrate surface to produce the desired deposit. Frequently, volatile by-products are also produced, which are removed by gas flow through the reaction chamber. Microfabrication processes widely use CVD to deposit materials in various forms, including: monocrystalline , polycrystalline , amorphous , and epitaxial . These materials include: silicon ( dioxide , carbide , nitride , oxynitride ), carbon ( fiber , nanofibers , nanotubes , diamond and graphene ), fluorocarbons , filaments , tungsten , titanium nitride and various high-κ dielectrics . The term chemical vapour deposition
6670-497: The DRIE. The first variation consists of three distinct steps (the original Bosch process) while the second variation only consists of two steps. In the first variation, the etch cycle is as follows: In the 2nd variation, steps (i) and (iii) are combined. Both variations operate similarly. The C 4 F 8 creates a polymer on the surface of the substrate, and the second gas composition ( SF 6 and O 2 ) etches
6785-671: The IEEE Micro Robots and Teleoperators Workshop, Hyannis, MA Nov. 9–11, 1987. The term "MEMS" was published by way of a submitted paper by J.E. Wood, S.C. Jacobsen, and K.W. Grace, titled "SCOFSS: A Small Cantilevered Optical Fiber Servo System", in the IEEE Proceedings Micro Robots and Teleoperators Workshop, Hyannis, MA Nov. 9–11, 1987. CMOS transistors have been manufactured on top of MEMS structures. There are two basic types of MEMS switch technology: capacitive and ohmic . A capacitive MEMS switch
6900-458: The ability to disturb a compass needle. The link between lightning and electricity was not confirmed until Benjamin Franklin 's proposed experiments in 1752 were conducted on 10 May 1752 by Thomas-François Dalibard of France using a 40-foot-tall (12 m) iron rod instead of a kite and he successfully extracted electrical sparks from a cloud. One of the first to discover and publish
7015-443: The addition of many of diamond's important qualities to other materials. Since diamond has the highest thermal conductivity of any bulk material, layering diamond onto high heat-producing electronics (such as optics and transistors) allows the diamond to be used as a heat sink. Diamond films are being grown on valve rings, cutting tools, and other objects that benefit from diamond's hardness and exceedingly low wear rate. In each case
SECTION 60
#17327938869677130-966: The air due to the incorporation of silanol (Si-OH) in the glass. Infrared spectroscopy and mechanical strain as a function of temperature are valuable diagnostic tools for diagnosing such problems. Silicon nitride is often used as an insulator and chemical barrier in manufacturing ICs. The following two reactions deposit silicon nitride from the gas phase: Silicon nitride deposited by LPCVD contains up to 8% hydrogen. It also experiences strong tensile stress , which may crack films thicker than 200 nm. However, it has higher resistivity and dielectric strength than most insulators commonly available in microfabrication (10 Ω ·cm and 10 M V /cm, respectively). Another two reactions may be used in plasma to deposit SiNH: These films have much less tensile stress, but worse electrical properties (resistivity 10 to 10 Ω·cm, and dielectric strength 1 to 5 MV/cm). Tungsten CVD, used for forming conductive contacts, vias, and plugs on
7245-536: The basic techniques are deposition of material layers, patterning by photolithography and etching to produce the required shapes. One of the basic building blocks in MEMS processing is the ability to deposit thin films of material with a thickness anywhere from one micrometre to about 100 micrometres. The NEMS process is the same, although the measurement of film deposition ranges from a few nanometres to one micrometre. There are two types of deposition processes, as follows. Physical vapor deposition ("PVD") consists of
7360-437: The capability of writing extremely fine lines (less than 50 nm line and space has been achieved) without proximity effect. However, because the writing field in ion-beam lithography is quite small, large area patterns must be created by stitching together the small fields. Ion track technology is a deep cutting tool with a resolution limit around 8 nm applicable to radiation resistant minerals, glasses and polymers. It
7475-416: The crystalline silicon at approximately equal rates. Anisotropic wet etchants preferably etch along certain crystal planes at faster rates than other planes, thereby allowing more complicated 3-D microstructures to be implemented. Wet anisotropic etchants are often used in conjunction with boron etch stops wherein the surface of the silicon is heavily doped with boron resulting in a silicon material layer that
7590-469: The crystallographic orientation of the substrate. This is known as anisotropic etching and one of the most common examples is the etching of silicon in KOH (potassium hydroxide), where Si <111> planes etch approximately 100 times slower than other planes ( crystallographic orientations ). Therefore, etching a rectangular hole in a (100)-Si wafer results in a pyramid shaped etch pit with 54.7° walls, instead of
7705-502: The deep connections between electricity and magnetism that would be discovered over 2,000 years later. Despite all this investigation, ancient civilizations had no understanding of the mathematical basis of electromagnetism, and often analyzed its impacts through the lens of religion rather than science (lightning, for instance, was considered to be a creation of the gods in many cultures). Electricity and magnetism were originally considered to be two separate forces. This view changed with
7820-408: The deposition area. Some catalysts require another step to remove them from the sample material. The direct growth of high-quality, large single-crystalline domains of graphene on a dielectric substrate is of vital importance for applications in electronics and optoelectronics. Combining the advantages of both catalytic CVD and the ultra-flat dielectric substrate, gaseous catalyst-assisted CVD paves
7935-495: The diamond growth must be carefully done to achieve the necessary adhesion onto the substrate. Diamond's very high scratch resistance and thermal conductivity, combined with a lower coefficient of thermal expansion than Pyrex glass, a coefficient of friction close to that of Teflon ( polytetrafluoroethylene ) and strong lipophilicity would make it a nearly ideal non-stick coating for cookware if large substrate areas could be coated economically. CVD growth allows one to control
8050-562: The effects of modern physics , including quantum mechanics and relativity . The theoretical implications of electromagnetism, particularly the requirement that observations remain consistent when viewed from various moving frames of reference ( relativistic electromagnetism ) and the establishment of the speed of light based on properties of the medium of propagation ( permeability and permittivity ), helped inspire Einstein's theory of special relativity in 1905. Quantum electrodynamics (QED) modifies Maxwell's equations to be consistent with
8165-576: The existence of a luminiferous aether through which the light propagates. However, subsequent experimental efforts failed to detect the presence of the aether. After important contributions of Hendrik Lorentz and Henri Poincaré , in 1905, Albert Einstein solved the problem with the introduction of special relativity, which replaced classical kinematics with a new theory of kinematics compatible with classical electromagnetism. (For more information, see History of special relativity .) In addition, relativity theory implies that in moving frames of reference,
8280-435: The existence of self-sustaining electromagnetic waves . Maxwell postulated that such waves make up visible light , which was later shown to be true. Gamma-rays, x-rays, ultraviolet, visible, infrared radiation, microwaves and radio waves were all determined to be electromagnetic radiation differing only in their range of frequencies. In the modern era, scientists continue to refine the theory of electromagnetism to account for
8395-399: The flow ratio of methane and hydrogen are not appropriate, it will cause undesirable results. During the growth of graphene, the role of methane is to provide a carbon source, the role of hydrogen is to provide H atoms to corrode amorphous C, and improve the quality of graphene. But excessive H atoms can also corrode graphene. As a result, the integrity of the crystal lattice is destroyed, and
8510-673: The flowing glass on cooling; these crystals are not readily etched in the standard reactive plasmas used to pattern oxides, and will result in circuit defects in integrated circuit manufacturing. Besides these intentional impurities, CVD oxide may contain byproducts of the deposition. TEOS produces a relatively pure oxide, whereas silane introduces hydrogen impurities, and dichlorosilane introduces chlorine . Lower temperature deposition of silicon dioxide and doped glasses from TEOS using ozone rather than oxygen has also been explored (350 to 500 °C). Ozone glasses have excellent conformality but tend to be hygroscopic – that is, they absorb water from
8625-516: The form of quantized , self-propagating oscillatory electromagnetic field disturbances called photons . Different frequencies of oscillation give rise to the different forms of electromagnetic radiation , from radio waves at the lowest frequencies, to visible light at intermediate frequencies, to gamma rays at the highest frequencies. Ørsted was not the only person to examine the relationship between electricity and magnetism. In 1802, Gian Domenico Romagnosi , an Italian legal scholar, deflected
8740-435: The formation and interaction of electromagnetic fields. This process culminated in the 1860s with the discovery of Maxwell's equations , a set of four partial differential equations which provide a complete description of classical electromagnetic fields. Maxwell's equations provided a sound mathematical basis for the relationships between electricity and magnetism that scientists had been exploring for centuries, and predicted
8855-426: The gases are broken down and more complex chemistries occur. The actual chemical process for diamond growth is still under study and is complicated by the very wide variety of diamond growth processes used. Using CVD, films of diamond can be grown over large areas of substrate with control over the properties of the diamond produced. In the past, when high pressure high temperature (HPHT) techniques were used to produce
8970-460: The graphene samples. Raman spectroscopy is used to characterize and identify the graphene particles; X-ray spectroscopy is used to characterize chemical states; TEM is used to provide fine details regarding the internal composition of graphene; SEM is used to examine the surface and topography. Sometimes, atomic force microscopy (AFM) is used to measure local properties such as friction and magnetism. Cold wall CVD technique can be used to study
9085-442: The industrial production of sensors, ink-jet nozzles, and other devices. But in many cases the distinction between these two has diminished. A new etching technology, deep reactive-ion etching , has made it possible to combine good performance typical of bulk micromachining with comb structures and in-plane operation typical of surface micromachining . While it is common in surface micromachining to have structural layer thickness in
9200-531: The industrialization of surface micromachining and has realized the co-integration of MEMS and integrated circuits. Wafer bonding involves joining two or more substrates (usually having the same diameter) to one another to form a composite structure. There are several types of wafer bonding processes that are used in microsystems fabrication including: direct or fusion wafer bonding, wherein two or more wafers are bonded together that are usually made of silicon or some other semiconductor material; anodic bonding wherein
9315-435: The knives took up the nails. On this the whole number was tried, and found to do the same, and that, to such a degree as to take up large nails, packing needles, and other iron things of considerable weight ... E. T. Whittaker suggested in 1910 that this particular event was responsible for lightning to be "credited with the power of magnetizing steel; and it was doubtless this which led Franklin in 1751 to attempt to magnetize
9430-478: The lack of magnetic monopoles , Abraham–Minkowski controversy , the location in space of the electromagnetic field energy, and the mechanism by which some organisms can sense electric and magnetic fields. The Maxwell equations are linear, in that a change in the sources (the charges and currents) results in a proportional change of the fields. Nonlinear dynamics can occur when electromagnetic fields couple to matter that follows nonlinear dynamical laws. This
9545-686: The latter two must also consider surface chemistry . The potential of very small machines was appreciated before the technology existed that could make them (see, for example, Richard Feynman 's famous 1959 lecture There's Plenty of Room at the Bottom ). MEMS became practical once they could be fabricated using modified semiconductor device fabrication technologies, normally used to make electronics . These include molding and plating, wet etching ( KOH , TMAH ) and dry etching ( RIE and DRIE), electrical discharge machining (EDM), and other technologies capable of manufacturing small devices. They merge at
9660-560: The latter, the material is sputtered or dissolved using reactive ions or a vapor phase etchant. Wet chemical etching consists of the selective removal of material by dipping a substrate into a solution that dissolves it. The chemical nature of this etching process provides good selectivity, which means the etching rate of the target material is considerably higher than the mask material if selected carefully. Wet etching can be performed using either isotropic wet etchants or anisotropic wet etchants. Isotropic wet etchant etch in all directions of
9775-473: The material to be etched without a chemical reaction. It is a very complex task to develop dry etch processes that balance chemical and physical etching, since there are many parameters to adjust. By changing the balance it is possible to influence the anisotropy of the etching, since the chemical part is isotropic and the physical part highly anisotropic the combination can form sidewalls that have shapes from rounded to vertical. Deep reactive ion etching (DRIE)
9890-442: The mechanisms behind these phenomena. The Greek philosopher Thales of Miletus discovered around 600 B.C.E. that amber could acquire an electric charge when it was rubbed with cloth, which allowed it to pick up light objects such as pieces of straw. Thales also experimented with the ability of magnetic rocks to attract one other, and hypothesized that this phenomenon might be connected to the attractive power of amber, foreshadowing
10005-418: The method of generating plasma—many different materials that can be considered diamond can be made. Single-crystal diamond can be made containing various dopants . Polycrystalline diamond consisting of grain sizes from several nanometers to several micrometers can be grown. Some polycrystalline diamond grains are surrounded by thin, non-diamond carbon, while others are not. These different factors affect
10120-429: The molecular scale, including its density, is determined by the balance between the electromagnetic force and the force generated by the exchange of momentum carried by the electrons themselves. In 1600, William Gilbert proposed, in his De Magnete , that electricity and magnetism, while both capable of causing attraction and repulsion of objects, were distinct effects. Mariners had noticed that lightning strikes had
10235-473: The nanoscale into nanoelectromechanical systems (NEMS) and nanotechnology . An early example of a MEMS device is the resonant-gate transistor, an adaptation of the MOSFET , developed by Robert A. Wickstrom for Harvey C. Nathanson in 1965. Another early example is the resonistor, an electromechanical monolithic resonator patented by Raymond J. Wilfinger between 1966 and 1971. During the 1970s to early 1980s,
10350-451: The objects. The effective forces generated by the momentum of electrons' movement is a necessary part of understanding atomic and intermolecular interactions. As electrons move between interacting atoms, they carry momentum with them. As a collection of electrons becomes more confined, their minimum momentum necessarily increases due to the Pauli exclusion principle . The behavior of matter at
10465-767: The process step was replaced by RIE. Hydrofluoric acid is considered one of the more dangerous acids in the cleanroom . Electrochemical etching (ECE) for dopant-selective removal of silicon is a common method to automate and to selectively control etching. An active p–n diode junction is required, and either type of dopant can be the etch-resistant ("etch-stop") material. Boron is the most common etch-stop dopant. In combination with wet anisotropic etching as described above, ECE has been used successfully for controlling silicon diaphragm thickness in commercial piezoresistive silicon pressure sensors. Selectively doped regions can be created either by implantation, diffusion, or epitaxial deposition of silicon. Xenon difluoride ( XeF 2 )
10580-415: The processes listed below are not commercially viable yet. The most popular carbon source that is used to produce graphene is methane gas. One of the less popular choices is petroleum asphalt, notable for being inexpensive but more difficult to work with. Although methane is the most popular carbon source, hydrogen is required during the preparation process to promote carbon deposition on the substrate. If
10695-407: The properties of the diamond produced. In the area of diamond growth, the word "diamond" is used as a description of any material primarily made up of sp3-bonded carbon, and there are many different types of diamond included in this. By regulating the processing parameters—especially the gases introduced, but also including the pressure the system is operated under, the temperature of the diamond, and
10810-408: The publication of James Clerk Maxwell 's 1873 A Treatise on Electricity and Magnetism in which the interactions of positive and negative charges were shown to be mediated by one force. There are four main effects resulting from these interactions, all of which have been clearly demonstrated by experiments: In April 1820, Hans Christian Ørsted observed that an electrical current in a wire caused
10925-447: The quality of graphene is deteriorated. Therefore, by optimizing the flow rate of methane and hydrogen gases in the growth process, the quality of graphene can be improved. The use of catalyst is viable in changing the physical process of graphene production. Notable examples include iron nanoparticles, nickel foam, and gallium vapor. These catalysts can either be used in situ during graphene buildup, or situated at some distance away at
11040-457: The range of 2 μm, in HAR silicon micromachining the thickness can be from 10 to 100 μm. The materials commonly used in HAR silicon micromachining are thick polycrystalline silicon, known as epi-poly, and bonded silicon-on-insulator (SOI) wafers although processes for bulk silicon wafer also have been created (SCREAM). Bonding a second wafer by glass frit bonding, anodic bonding or alloy bonding
11155-471: The same charge, while magnetism is an interaction that occurs between charged particles in relative motion. These two forces are described in terms of electromagnetic fields. Macroscopic charged objects are described in terms of Coulomb's law for electricity and Ampère's force law for magnetism; the Lorentz force describes microscopic charged particles. The electromagnetic force is responsible for many of
11270-565: The same silicon wafer. The original surface micromachining concept was based on thin polycrystalline silicon layers patterned as movable mechanical structures and released by sacrificial etching of the underlying oxide layer. Interdigital comb electrodes were used to produce in-plane forces and to detect in-plane movement capacitively. This MEMS paradigm has enabled the manufacturing of low cost accelerometers for e.g. automotive air-bag systems and other applications where low performance and/or high g-ranges are sufficient. Analog Devices has pioneered
11385-583: The semiconductor industry. In spite of graphene's exciting electronic and thermal properties, it is unsuitable as a transistor for future digital devices, due to the absence of a bandgap between the conduction and valence bands. This makes it impossible to switch between on and off states with respect to electron flow. Scaling things down, graphene nanoribbons of less than 10 nm in width do exhibit electronic bandgaps and are therefore potential candidates for digital devices. Precise control over their dimensions, and hence electronic properties, however, represents
11500-400: The sensor industry in the 1980s and 1990s. Surface micromachining uses layers deposited on the surface of a substrate as the structural materials, rather than using the substrate itself. Surface micromachining was created in the late 1980s to render micromachining of silicon more compatible with planar integrated circuit technology, with the goal of combining MEMS and integrated circuits on
11615-782: The silane reaction is also done in APCVD. CVD oxide invariably has lower quality than thermal oxide , but thermal oxidation can only be used in the earliest stages of IC manufacturing. Oxide may also be grown with impurities ( alloying or " doping "). This may have two purposes. During further process steps that occur at high temperature, the impurities may diffuse from the oxide into adjacent layers (most notably silicon) and dope them. Oxides containing 5–15% impurities by mass are often used for this purpose. In addition, silicon dioxide alloyed with phosphorus pentoxide ("P-glass") can be used to smooth out uneven surfaces. P-glass softens and reflows at temperatures above 1000 °C. This process requires
11730-478: The substrate. On the other hand, temperatures used range from 800 to 1050 °C. High temperatures translate to an increase of the rate of reaction. Caution has to be exercised as high temperatures do pose higher danger levels in addition to greater energy costs. Hydrogen gas and inert gases such as argon are flowed into the system. These gases act as a carrier, enhancing surface reaction and improving reaction rate, thereby increasing deposition of graphene onto
11845-436: The substrate. Standard quartz tubing and chambers are used in CVD of graphene. Quartz is chosen because it has a very high melting point and is chemically inert. In other words, quartz does not interfere with any physical or chemical reactions regardless of the conditions. Raman spectroscopy, X-ray spectroscopy, transmission electron microscopy (TEM), and scanning electron microscopy (SEM) are used to examine and characterize
11960-417: The substrate. The polymer is immediately sputtered away by the physical part of the etching, but only on the horizontal surfaces and not the sidewalls. Since the polymer only dissolves very slowly in the chemical part of the etching, it builds up on the sidewalls and protects them from etching. As a result, etching aspect ratios of 50 to 1 can be achieved. The process can easily be used to etch completely through
12075-428: The surface of the wafer. Since neutral particles attack the wafer from all angles, this process is isotropic. Plasma etching can be isotropic, i.e., exhibiting a lateral undercut rate on a patterned surface approximately the same as its downward etch rate, or can be anisotropic, i.e., exhibiting a smaller lateral undercut rate than its downward etch rate. Such anisotropy is maximized in deep reactive ion etching. The use of
12190-408: The technique of thermal oxidation , in which the (typically silicon) wafer is exposed to oxygen and/or steam, to grow a thin surface layer of silicon dioxide . Patterning is the transfer of a pattern into a material. Lithography in a MEMS context is typically the transfer of a pattern into a photosensitive material by selective exposure to a radiation source such as light. A photosensitive material
12305-405: The term anisotropy for plasma etching should not be conflated with the use of the same term when referring to orientation-dependent etching. The source gas for the plasma usually contains small molecules rich in chlorine or fluorine. For instance, carbon tetrachloride ( CCl 4 ) etches silicon and aluminium, and trifluoromethane etches silicon dioxide and silicon nitride. A plasma containing oxygen
12420-474: The thermal stability of the substrate; for instance, aluminium is sensitive to high temperature. Silane deposits between 300 and 500 °C, dichlorosilane at around 900 °C, and TEOS between 650 and 750 °C, resulting in a layer of low- temperature oxide (LTO). However, silane produces a lower-quality oxide than the other methods (lower dielectric strength , for instance), and it deposits non conformally . Any of these reactions may be used in LPCVD, but
12535-546: The underlying surface science involved in graphene nucleation and growth as it allows unprecedented control of process parameters like gas flow rates, temperature and pressure as demonstrated in a recent study. The study was carried out in a home-built vertical cold wall system utilizing resistive heating by passing direct current through the substrate. It provided conclusive insight into a typical surface-mediated nucleation and growth mechanism involved in two-dimensional materials grown using catalytic CVD under conditions sought out in
12650-464: The wafers to be bonded are sufficiently flat; the wafer surfaces are sufficiently smooth; and the wafer surfaces are sufficiently clean. The most stringent criteria for wafer bonding is usually the direct fusion wafer bonding since even one or more small particulates can render the bonding unsuccessful. In comparison, wafer bonding methods that use intermediary layers are often far more forgiving. Both bulk and surface silicon micromachining are used in
12765-479: The way for synthesizing high-quality graphene for device applications while avoiding the transfer process. Physical conditions such as surrounding pressure, temperature, carrier gas, and chamber material play a big role in production of graphene. Most systems use LPCVD with pressures ranging from 1 to 1500 Pa. However, some still use APCVD. Low pressures are used more commonly as they help prevent unwanted reactions and produce more uniform thickness of deposition on
12880-406: Was an electromagnetic wave propagating in the luminiferous ether . In classical electromagnetism, the behavior of the electromagnetic field is described by a set of equations known as Maxwell's equations , and the electromagnetic force is given by the Lorentz force law . One of the peculiarities of classical electromagnetism is that it is difficult to reconcile with classical mechanics , but it
12995-474: Was coined in 1960 by John M. Blocher, Jr. who intended to differentiate chemical from physical vapour deposition (PVD). CVD is practiced in a variety of formats. These processes generally differ in the means by which chemical reactions are initiated. Most modern CVD is either LPCVD or UHVCVD. CVD is commonly used to deposit conformal films and augment substrate surfaces in ways that more traditional surface modification techniques are not capable of. CVD
13110-520: Was developed for manufacturing integrated circuits , and is also used for creating nanotechnology architectures. The primary advantage of electron beam lithography is that it is one of the ways to beat the diffraction limit of light and make features in the nanometer range. This form of maskless lithography has found wide usage in photomask -making used in photolithography , low-volume production of semiconductor components, and research & development. The key limitation of electron beam lithography
13225-475: Was reported by a Dr. Cookson. The account stated: A tradesman at Wakefield in Yorkshire, having put up a great number of knives and forks in a large box ... and having placed the box in the corner of a large room, there happened a sudden storm of thunder, lightning, &c. ... The owner emptying the box on a counter where some nails lay, the persons who took up the knives, that lay on the nails, observed that
#966033